Omron Automation and Safety - CRT1-VMD32ML

KEY Part #: K7084541

CRT1-VMD32ML Giá cả (USD) [103chiếc]

  • 1 pcs$425.06622

Một phần số:
CRT1-VMD32ML
nhà chế tạo:
Omron Automation and Safety
Miêu tả cụ thể:
I/O MODULE 16 DIG 16 SOLID ST. I/O Connectors 16IN/16Out NPN MIL Connector
Manufacturer's standard lead time:
Trong kho
Thời hạn sử dụng:
Một năm
Chip từ:
Hồng Kông
RoHS:
Phương thức thanh toán:
Cách gửi hàng:
Thể loại gia đình:
KEY Linh kiện Công ty TNHH là một Nhà phân phối linh kiện điện tử cung cấp các loại sản phẩm bao gồm: Nước lọc, Bảng điều khiển mét, Thiết bị công nghiệp, Thị giác máy - Máy ảnh / Cảm biến, Màn hình - Đầu ra rơle, Giao diện người máy (HMI) - Phụ kiện, Rơle thời gian trễ and Thị giác máy - Ống kính ...
Lợi thế cạnh tranh:
We specialize in Omron Automation and Safety CRT1-VMD32ML electronic components. CRT1-VMD32ML can be shipped within 24 hours after order. If you have any demands for CRT1-VMD32ML, Please submit a Request for Quotation here or send us an email:
GB-T-27922
ISO-9001-2015
ISO-13485
ISO-14001
ISO-28000-2007
ISO-45001-2018

CRT1-VMD32ML Thuộc tính sản phẩm

Một phần số : CRT1-VMD32ML
nhà chế tạo : Omron Automation and Safety
Sự miêu tả : I/O MODULE 16 DIG 16 SOLID ST
Loạt : CRT1
Tình trạng một phần : Active
Kiểu : Input, Output (I/O) Module
Để sử dụng với / Sản phẩm liên quan : CJ, CS, NJ Series
Số lượng đầu vào và loại : 16 - Digital
Số lượng đầu ra và loại : 16 - Solid State
Cung cấp điện áp : -
Kiểu lắp : DIN Rail
Phong cách chấm dứt : Rectangular Connector
Tính năng, đặc điểm : -

Bạn cũng có thể quan tâm
  • CSPID2TM

    Red Lion Controls

    PROCESS CTRL MOD 3 ANALOG 4 SS.

  • CSPID1SM

    Red Lion Controls

    PROCESS CTRL MOD 2 ANALOG 3 SS.

  • CSPID1SA

    Red Lion Controls

    PROC CTRL MOD 1 ANALOG 4 ANALOG.

  • CSPID1TA

    Red Lion Controls

    PROC CTRL MOD 1 ANALOG 3 ANALOG.

  • CSPID1R0

    Red Lion Controls

    PROCESS CTRL MOD 1 ANALOG 2 REL.

  • 2901537

    Phoenix Contact

    INPUT MODULE 4 ANALOG. I/O Modules 4 Channel Analog input IO module